Hostname: page-component-8448b6f56d-dnltx Total loading time: 0 Render date: 2024-04-18T18:56:43.126Z Has data issue: false hasContentIssue false

Strain Measurement in Semiconductor Heterostructures by Scanning Transmission Electron Microscopy

Published online by Cambridge University Press:  02 October 2012

Knut Müller*
Affiliation:
Institut für Festkörperphysik, Universität Bremen, Otto-Hahn-Allee 1, 28359 Bremen, Germany
Andreas Rosenauer*
Affiliation:
Institut für Festkörperphysik, Universität Bremen, Otto-Hahn-Allee 1, 28359 Bremen, Germany
Marco Schowalter
Affiliation:
Institut für Festkörperphysik, Universität Bremen, Otto-Hahn-Allee 1, 28359 Bremen, Germany
Josef Zweck
Affiliation:
Institut für Experimentelle und Angewandte Physik, Universität Regensburg, Universitätsstraße 31, 93040 Regensburg, Germany
Rafael Fritz
Affiliation:
Institut für Experimentelle und Angewandte Physik, Universität Regensburg, Universitätsstraße 31, 93040 Regensburg, Germany
Kerstin Volz
Affiliation:
Materials Science Center and Faculty of Physics, Philipps Universität Marburg, Hans-Meerwein-Straße, 35032 Marburg, Germany
*
**Corresponding authors. E-mail: mueller@ifp.uni-bremen.de; rosenauer@ifp.uni-bremen.de
**Corresponding authors. E-mail: mueller@ifp.uni-bremen.de; rosenauer@ifp.uni-bremen.de
Get access

Abstract

This article deals with the measurement of strain in semiconductor heterostructures from convergent beam electron diffraction patterns. In particular, three different algorithms in the field of (circular) pattern recognition are presented that are able to detect diffracted disc positions accurately, from which the strain in growth direction is calculated. Although the three approaches are very different as one is based on edge detection, one on rotational averages, and one on cross correlation with masks, it is found that identical strain profiles result for an InxGa1−xNyAs1−y/GaAs heterostructure consisting of five compressively and tensile strained layers. We achieve a precision of strain measurements of 7–9·10−4 and a spatial resolution of 0.5–0.7 nm over the whole width of the layer stack which was 350 nm. Being already very applicable to strain measurements in contemporary nanostructures, we additionally suggest future hardware and software designs optimized for fast and direct acquisition of strain distributions, motivated by the present studies.

Type
Techniques and Equipment Development
Copyright
Copyright © Microscopy Society of America 2012

Access options

Get access to the full version of this content by using one of the access options below. (Log in options will check for institutional or personal access. Content may require purchase if you do not have access.)

Footnotes

A. Rosenauer and K. Müller contributed to the present work in equal part and share first-authorship.

References

Armigliato, A., Frabboni, S. & Gazzadi, G.C. (2008). Electron diffraction with ten nanometer beam size for strain analysis of nanodevices. Appl Phys Lett 93(16), 161906.Google Scholar
Bayle, P., Deutsch, T., Gilles, B., Lançon, F., Marty, A. & Thibault, J. (1994). Quantitative analysis of the deformation and chemical profiles of strained multilayers. Ultramicroscopy 56(1-3), 94107.Google Scholar
Béché, A., Rouvière, J.L., Clément, L. & Hartmann, J.M. (2009). Improved precision in strain measurement using nanobeam electron diffraction. Appl Phys Lett 95(12), 123114.Google Scholar
Bierwolf, R., Hohenstein, M., Phillipp, F., Brandt, O., Crook, G. & Ploog, K. (1993). Direct measurement of local lattice distortions in strained layer structures by HREM. Ultramicroscopy 49(1-4), 273285.Google Scholar
Bragg, W.H. & Bragg, W.L. (1913). The reflection of X-rays by crystals. Proc Roy Soc Lond A 88, 428438.Google Scholar
Chapman, J.N. (1984). The investigation of magnetic domain structures in thin foils by electron microscopy. J Phys D: Appl Phys 17(4), 623.CrossRefGoogle Scholar
Coope, I.D. (1993). Circle fitting by linear and nonlinear least squares. J Opt Theory Appl 76, 381388.Google Scholar
Favia, P., Gonzales, M.B., Simoen, E., Verheyen, P., Klenov, D. & Bender, H. (2011). Nanobeam diffraction: Technique evaluation and strain measurement on complementary metal oxide semiconductor devices. J Electrochem Soc 158(4), H438H446.Google Scholar
Glas, F. (2004). The effect of the static atomic displacements on the structure factors of weak reflections in cubic semiconductor alloys. Philos Mag 84(20), 20552074.CrossRefGoogle Scholar
Guerrero, E., Galindo, P., Yáñez, A., Ben, T. & Molina, S.I. (2007). Error quantification in strain mapping methods. Microsc Microanal 13(5), 320328.Google Scholar
Hüe, F., Hÿtch, M., Houdellier, F., Bender, H. & Claverie, A. (2009). Strain mapping of tensiley strained silicon transistors with embedded Si1−y C y source and drain by dark-field holography. Appl Phys Lett 95(7), 073103.Google Scholar
Hÿtch, M., Houdellier, F., Hüe, F. & Snoeck, E. (2008). Nanoscale holographic interferometry for strain measurements in electronic devices. Nature 453, 10861089.Google Scholar
Hÿtch, M.J. & Plamann, T. (2001). Imaging conditions for reliable measurement of displacement and strain in high-resolution electron microscopy. Ultramicroscopy 87(4), 199212.Google Scholar
Jouneau, P.H., Tardot, A., Feuillet, G., Mariette, H. & Cibert, J. (1994). Strain mapping of ultrathin epitaxial ZnTe and MnTe layers embedded in CdTe. J Appl Phys 75(11), 73107316.CrossRefGoogle Scholar
Koch, C.T., Özdöl, V.B. & van Aken, P.A. (2010). An efficient, simple, and precise way to map strain with nanometer resolution in semiconductor devices. Appl Phys Lett 96(9), 091901.Google Scholar
Liu, J.P., Li, K., Pandey, S.M., Benistant, F.L., See, A., Zhou, M.S., Hsia, L.C., Schampers, R. & Klenov, D.O. (2008). Strain relaxation in transistor channels with embedded epitaxial silicon germanium source/drain. Appl Phys Lett 93(22), 221912.Google Scholar
Müller, K., Schowalter, M., Rosenauer, A., Rubel, O. & Volz, K. (2010). Effect of bonding and static atomic displacements on composition quantification in In x Ga1−x N y As1−y . Phys Rev B 81(7), 075315.Google Scholar
Ploessl, R., Chapman, J.N., Thompson, A.M., Zweck, J. & Hoffmann, H. (1993). Investigation of the micromagnetic structure of cross-tie walls in permalloy. J Appl Phys 73(5), 24472452.Google Scholar
Prewitt, J.M.S. (1970). Object Enhancement and Extraction, pp. 75149. New York: Academic Press.Google Scholar
Robertson, M.D., Corbett, J.M., Webb, J.B., Jagger, J. & Currie, J.E. (1995). Elastic strain determination in semiconductor epitaxial layers by HREM. Micron 26(6), 521537. (Papers presented at the 22nd Annual Meeting of the Microscopical Society of Canada/Societe de Microscopie du Canada.)Google Scholar
Rosenauer, A., Fischer, U., Gerthsen, D. & Förster, A. (1998). Composition evaluation by lattice fringe analysis. Ultramicroscopy 72, 121133.Google Scholar
Rosenauer, A., Gerthsen, D., Dyck, D.V., Arzberger, M., Böhm, G. & Abstreiter, G. (2001). Quantification of segregation and mass transport in In x Ga1−x As/GaAs Stranski-Krastanow layers. Phys Rev B 64(24), 245334.Google Scholar
Rosenauer, A., Gerthsen, D. & Potin, V. (2006). Strain state analysis of InGaN/GaN sources of error and optimized imaging conditions. Phys Status Solidi A 203(1), 176184.Google Scholar
Rosenauer, A., Gries, K., Müller, K., Pretorius, A., Schowalter, M., Avramescu, A., Engl, K. & Lutgen, S. (2009). Measurement of specimen thickness and composition in Al x Ga1−x N/GaN using high-angle annular dark field images. Ultramicroscopy 109(9), 11711182.Google Scholar
Rosenauer, A. & Schowalter, M. (2007). STEMSIM—A new software tool for simulation of STEM HAADF Z-contrast imaging. In Springer Proceedings in Physics, Vol. 120, Cullis, A.G. & Midgley, P.A. (Eds.), pp. 169172. Berlin: Springer.Google Scholar
Rosenauer, A., Schowalter, M., Glas, F. & Lamoen, D. (2005). First-principles calculations of 002 structure factors for electron scattering in strained In x Ga1−x As. Phys Rev B 72, 085326.Google Scholar
Tillmann, K., Lentzen, M. & Rosenfeld, R. (2000). Impact of column bending in high-resolution transmission electron microscopy on the strain evaluation of GaAs/InAs/GaAs heterostructures. Ultramicroscopy 83(1-2), 111128.Google Scholar
Uesugi, F., Hokazono, A. & Takeno, S. (2011). Evaluation of two-dimensional strain distribution by STEM/NBD. Ultramicroscopy 111(8), 995998.Google Scholar
Usuda, K., Numata, T. & Takagi, S. (2005). Strain evaluation of strained-Si layers on SiGe by the nano-beam electron diffraction (NBD) method. Mater Sci Semicond Process 8(1-3), 155159.CrossRefGoogle Scholar
Waller, I. (1927). Die Einwirkung der Wärmebewegung der Kristallatome auf Intensität, Lage und Schärfe der Röntgenspektrallinien. Ann Phys 83, 153183.Google Scholar
Yu, W. & Mader, W. (2010). Displacement field measurement of metal sub-lattice in inversion domains of indium-doped zinc oxide. Ultramicroscopy 110(5), 411417.Google Scholar