Hostname: page-component-7c8c6479df-xxrs7 Total loading time: 0 Render date: 2024-03-29T09:54:11.371Z Has data issue: false hasContentIssue false

Contact materials for nanoelectronics

Published online by Cambridge University Press:  18 February 2011

H.N. Alshareef
Affiliation:
King Abdullah University of Science and Technology; Husam.alshareef@kaust.edu.sa
M.A. Quevedo-Lopez
Affiliation:
University of Texas at Dallas; mquevedo@utdallas.edu
P. Majhi
Affiliation:
SEMATECH, prashant.majhi@sematech.org

Abstract

In this article, we review current research activities in contact material development for electronic and nanoelectronic devices. A fundamental issue in contact materials research is to understand and control interfacial reactions and phenomena that modify the expected device performance. These reactions have become more challenging and more difficult to control as new materials have been introduced and as device sizes have entered the deep nanoscale. To provide an overview of this field of inquiry, this issue of MRS Bulletin includes articles on gate and contact materials for Si-based devices, junction contact materials for Si-based devices, and contact materials for alternate channel substrates (Ge and III–V), nanodevices.

Type
Introduction
Copyright
Copyright © Materials Research Society 2011

Introduction

It is well established that contact materials have a significant impact on the operation of electronic devices. In most cases, an ohmic contact, allowing unhindered current flow in and out of the device, is desired. Yet, in other cases, the contact is expected to perform a function beyond just passing current. Examples include transistor gate contacts, which determine transistor threshold voltage, and Schottky contacts, which act as rectifiers by blocking current flow in one direction. For a materials scientist, one of the key considerations in choosing contact materials is the stability of the interface between the contact material and the semiconducting film or substrate. This is because uncontrolled chemical reactions at the interface can modify the expected device performance. To realize good ohmic contacts, it is necessary to minimize the contact resistance (R co) between the contact and the semiconductor materials. This has become especially important as contact areas have entered the deep nanoscale (R co = ρc/A cont), where A cont is the contact area. The specific contact resistivity (ρc) depends on two key parameters at the disposal of a materials scientist—doping density (N) in the semiconductor and the barrier height (ϕB):Reference Rhoderick and Williams1

(1)

where m* is the carrier effective mass, h is Plank’s constant, and εs is the semiconductor permittivity. The barrier height is ideally determined by the work functions of the contact/semiconductor pair. The Schottky-Mott theory provides that the barrier height, ϕB, is determined by the difference in the metal work function, ϕm, and the electron affinity of the semiconductor, χs (where ϕB = ϕm – χs). However, it has been observed experimentally that the barrier height is a rather weak function of ϕm, and interfacial effects are the dominant factors that determine the value of ϕB. Such effects have been attributed to the phenomena of Fermi-level pinning or metal-induced gap states (MIGS) theory.Reference Allen2, Reference Tersoff3 The engineering of these interrelated parameters (R co, N, and ϕB) is the key to getting the desired device performance and is the central theme that cuts across the different types of devices being discussed in this issue.

Contact materials in Si-based devices

As Si devices have been scaled down in size, so has the contact area, resulting in increasing contact resistance. In fact, the overall contribution of contact resistance to the series resistance of metal oxide semiconductor field-effect transistors (MOSFETs) is expected to reach above 60% for the 32 nm node.Reference Kim, Park and Woo4, Reference Kim, Narasimha and Rim5 There are two ways to reduce the contact resistance at the silicide-Si junctions (current values are in the upper 10–8 Ω cm2). The first way, traditionally used, is a higher active doping concentration (N) in the junction. However, this approach is limited by the solid solubility of the dopant in Si and has basically reached the limit of its benefit. An additional method to reduce ρc is to lower the barrier height at the silicide-Si junction (a barrier height <0.3 eV is needed to get ρc below 1 × 10–8 Ω cm2). The current preferred silicide (NiSi) forms relatively large barrier heights to n + and p + Si of 0.67 and 0.43 eV, respectively.Reference Kittl, Opsomer, Torregiani, Demeurisse, Mertens, Brunco, Van Dal and Lauwers6 Figure 1 summarizes the multiple approaches that can be leveraged to lower junction barrier heights to reduce ρc. One approach is to use a smaller bandgap material such as SiGe. In fact, SiGe junctions for p-channel devices have now been in production and provide a small reduction in the barrier height to Si (in addition to strain-enhanced mobility).Reference Ghani, Armstrong, Auth, Bost, Charvat, Glass, Hoffmann, Johnson, Kenyon, Klaus, McIntyre, Mistry, Murthy, Sandford, Silberstein, Sivakumar, Smith, Zawadzki, Thompson and Bohr7 Another approach is to use dual-silicide junctions, shown as the black and red regions above the source and drain, respectively, in Figure 1. One silicide is optimized for n +-Si and the other for p +-Si. This approach has been reported using PtSi for a p-type metal oxide semiconductor (PMOS) and ErSi1.7 for an n-type metal oxide semiconductor (NMOS) (ϕpn = 0.22 eV, 0.3 eV, respectively).Reference Kedzierski, Xuan, Andew, Bokor, King and Hu8 Yet another method takes advantage of Fermi-level pinning using surface passivation to set the effective barrier height.Reference Ikeda, Yamashita, Sugiyama, Taoka and Takagi9 More details on this topic are found in the article by Loh and Coss in this issue.

Figure 1. Research directions in nanoscale complementary metal oxide semiconductor devices. These directions include junction silicide engineering, gate stack engineering, and incorporation of alternate channel materials. S/D is source/drain, ϕB is the barrier height, ϕm is the metal work function, and N d is the doping density.

Increasingly, the introduction of new materials is driving improvements in Si transistor performance. One high profile example involving contacts is high-κ/metal gate materials.Reference Ito, Namba, Yamaguchi, Hirata, Ando, Koyama, Kuroki, Ikezawa, Suzuki, Saitoh and Horiuchi10Reference Gusev, Buchanan, Cartier, Kumar, DiMaria, Guha, Calegari, Zafar, Jamison, Nuemayer, Copel, Okorn-Schmidt, D’Emic, Kozlowski, Chan, Bojarczuk, Ragnarsson, Ronsheim, Rim, Fleming, Mocuta and Ajmera17 Because of its lower carrier concentration compared to metals, polycrystalline silicon (poly-Si) has always exhibited a carrier depletion effect, but its impact on transistor performance became significant only as the SiO2 gate dielectric thickness dropped below 2.0 nm. To eliminate the poly-Si depletion effect, metal gate materials with work functions comparable to heavily doped n-type silicon (4.05 eV) and p-type silicon (5.15 eV) were needed. The realization of these work functions using metal gates deposited directly on Hf-based dielectrics was not trivial even as hundreds of contact materials and compositions were evaluatedReference Alshareef, Zhang, Majhi, Brown, Zeitzof, Huff and Lee18Reference Schaeffer, Samavedam, Gilmer, Dhandapani, Tobin, Mogab, Nguyen, White, Dakshina-Murthy and Rai21 (see Figure 2). The vast majority showed some level of Fermi-level pinning, making it difficult to get the appropriate threshold voltage, especially with gate oxides approaching 1 nm equivalent oxide thickness.Reference Hobbs, Fonseca, Knizhnik, Dhandapani, Samavedam, Taylor, Grant, Dip, Triyoso, Hegde, Gilmer, Garcia, Roan, Lovejoy, Rai, Hebert, Tseng, Anderson, White and Tobin22Reference Yeo, King and Hu24 Ultimately, two solutions emerged to overcome this issue. One was interface engineering using angstrom-level dipole interfacial layers to shift the effective work function to the desired values (4.0 and 5.0 eV for the n- and p-type silicon layers, respectively). A particularly effective oxide for NMOS was La2O3,Reference Alshareef, Quevedo-Lopez, Wen, Harris, Kirsch, Majhi, Lee and Jammy25Reference Copel, Guha, Bojarczuk, Cartier, Narayanan and Paruchuri27 and for PMOS, Al2O3 was effective.Reference Alshareef, Luan, Choi, Harris, Wen, Quevedo-Lopez, Majhi and Lee28 The second approach was to use a lower thermal budget flow to exert better control on the interface chemistry, particularly oxygen.Reference Guha and Narayanan29, Reference Shiraishi, Yamada, Torii, Akasaka, Nakajima, Konno, Chikyo, Kitajima and Arikado30 Please see the article by Wen and Chambers in this issue for more details.

Figure 2. Effective work function of transistor gate contacts. The x-axis shows a large number of materials and compositions that have been tested as transistor metal gates. They include metals, conductive nitrides, silicides, oxides, and a combination of such materials.Reference Alshareef, Zhang, Majhi, Brown, Zeitzof, Huff and Lee18, Reference Majhi, Wen, Alshareef, Harris, Luan, Choi, Park, Song, Lee and Jammy19

Electrical contacts to nanoscale devices

Si nanowires and carbon nanotubes (CNTs) have attracted significant attention for nanoelectronic device applications (see Figure 3).Reference Lu and Lieber31Reference Anantram and Léonard33 While CNTs offer exceptional mobilities, Si nanowires stand to benefit from the vast existing Si industry experience. The realization of Si nanowire devices, such as planar devices, will require low resistance contacts, controlled Fermi-level pinning, controlled doping levels and profiles, and appropriate barrier heights to be achieved. Two things make Si nanowire devices different from their planar counterparts: their large surface area-to-volume ratio and their exceedingly small size. Since contact resistance increases with the inverse of contact area, contact resistance can be large for nanowire devices. Further, obtaining ohmic contacts by relying on heavy doping of Si or Ge nanowires may not be a viable approach because variation in dopant concentration of only a few atoms would significantly alter the contact resistance.Reference Hanrath and Korgel34 Additionally, the realization of appropriate barrier heights may be equally challenging. This is because variation in Si nanowire size may lead to fluctuation in the bandgapReference Piscator and Engström35Reference Yao, Zhang and Li37 and because interfacial phenomena such as Fermi level, E F, pinning and interface trap density can show dramatic differences from planar devices.Reference Hasegawa, Sato and Kasai38Reference Svensson, Sourab, Tarakanov, Su. Lee, Park, Baek, Park and Campbell41 In fact, it has been reported that highly localized interfacial dipoles can form which, together with pinning of E F, can result in nanoscale Schottky barriers 40% to 90% larger than the barrier at the corresponding bulk interface.Reference Landman, Barnett, Scherbakov and Avouris42 CNTs mostly form Schottky barrier heights, and surface treatments may be needed to reduce these barriers, while Si nanowires have been demonstrated with ohmic contacts.

Figure 3. Potential issues in contact development for nanowire devices.

Another type of nanoscale device being addressed here is the nanoelectromechanical switching device. Along with the common issues of contact resistance and barrier height, these devices will present a different set of challenges. These challenges include the requirement of low stress contacts and mechanical integrity of the contact interface with the active materials, particularly for the moving parts. Please refer to the article by Hussain and Song in this issue for more details.

Contact materials to Ge and III–V compounds

It is becoming clear that scaling of CMOS can only be realized by the continuous introduction of new materials (high-κ/metal gates,Reference Chau, Brask, Datta, Dewey, Doczy, Doyle, Kavalieros, Jin, Metz, Majumdar and Radosavljevic43 SiGe-SiC,Reference Ghani, Armstrong, Auth, Bost, Charvat, Glass, Hoffmann, Johnson, Kenyon, Klaus, McIntyre, Mistry, Murthy, Sandford, Silberstein, Sivakumar, Smith, Zawadzki, Thompson and Bohr44 GeReference Yu, Ishibashi, Park, Kobayashi and Saraswat45/III–V channelsReference Hu, Saraswat and Philip Wong46) and/or architectures (such as FinFETReference Kedzierski, Fried, Nowak, Kanarsky, Rankin, Hanafi, Natzle and Boyd47 [fin-shaped field-effect transistor] and triple-gated transistors,Reference Kavalieros, Doyle, Datta, Dewey and Chau48 and nanowiresReference Li, Yeo, Suk, Yeoh, Kim, Chung, Oh and Lee49). High mobility materials are strong contenders to replace Si in future generation low-power devices. These materials provide an intrinsic mobility enhancement in excess of five times over Si and hence pose a much more stringent requirement on contact resistance (to keep the external resistance, R ext, a small fraction of the total resistance). To realize the true potential of devices with Ge and/or III–V channels, it is estimated that the contact resistivities for these systems should be below 10–8 Ω cm2. One needs to comprehend the nanostructure of the metal-semiconductor contact and correlate this to the electrical properties of the contact. Interestingly, it has been demonstrated that most metals in contact with p-Ge have their work function pinned to the valence band of Ge, making it easier to form ohmic contacts to Ge for pFETs. However, for nFETs this Fermi-level pinning toward the valence band edge E v results in a very high interfacial resistance. Some research groups have demonstrated promise in tuning this resistance by inserting a thin layer that modulates the pinning while being transparent and allowing high tunneling currents. Nevertheless, there is limited experimentally demonstrated low R co for metal-Ge (n-type) contacts. For the III–V systems, there has been significant research on making low resistance contacts to an In-Ga-As semiconductor. The various approaches include the modulation of the interface to tune the Schottky barrier height and increasing the semiconductor dopant densities to 1020/cm3 levels by in situ doped junctions. It has also been surmised that the higher dopant densities create an interfacial dipole that further reduces the effective Schottky barrier height of the metal/III–V contacts. Additionally, modulation of the contact resistivity using a metal-insulator-semiconductor structure is being researched with limited, but promising, results. The article by Hu et al. in this issue summarizes the current understanding of contacts to Ge and III–V semiconductors and ongoing research directions.

Contact materials to thin-film transistors

Thin-film transistors (TFTs) have become a key technology for the electronic flat panel industry in the past 15 years, just as silicon chips have been a key technology for the electronics revolution. Multiple factories today produce millions of displays per year, with each display incorporating several million TFTs. The concept of the TFT and its potential utility significantly pre-dated the device that gave rise to the term transistor.Reference Lilienfeld50 However, for many years, it was overshadowed by the astounding developments associated with the original bipolar transistor and the MOSFET. In more than six decades, thin-film transistors have undergone extensive evolution, development, and optimization. During this period of time, their intended uses went from switching systems to low-cost computer logic to flat panel displays, and new materials, structures, and fabrication techniques were introduced. TFT-based CMOS devices have also been demonstrated (Figure 4).Reference Quevedo-Lopez, Gowrisanker, Alshareef, Gnade, Venugopal, Krishna, Kaftanoglu and Allee51, Reference Gowrisanker, Ai, Jia, Quevedo-Lopez, Alshareef and Gnade52

Figure 4. Complementary metal oxide semiconductor (CMOS) fabricated in thin-film transistor (TFT) technologies. Top left: Cross-section of the CMOS structure comprising both organic and inorganic thin-film transistors. Top right and bottom left and right: test structures.Reference Quevedo-Lopez, Gowrisanker, Alshareef, Gnade, Venugopal, Krishna, Kaftanoglu and Allee51, Reference Gowrisanker, Ai, Jia, Quevedo-Lopez, Alshareef and Gnade52

For conventional semiconductors, including single crystal and amorphous silicon, ohmic contacts are most often formed using heavily doped semiconductor regions at metal-semiconductor interfaces. However, most oxide and organic TFT devices do not use doping to help form ohmic contacts. Instead, electrodes directly contact semiconductor regions with negligible or low doping. As a result, many oxide and organic TFTs have non-ohmic contacts. In fact, many oxide and organic semiconductor TFTs operate with Schottky source contacts, where gate field-induced charge is used to provide carriers not present from doping to allow tunneling between the source electrode and the TFT channel.Reference Sun and Rogers53 Despite the considerable progress made in recent years to improve the performance of organic and oxide TFTs, many issues are still poorly understood and poorly controlled. With decreasing device dimensions, the contact resistance as a part of the total device resistance will dominate compared with channel resistance, and therefore will play an important part in TFT operation, as well. This can be alleviated either by performing surface preparation to reduce the metal semiconductor barrier height or by increasing the effective carrier concentration of the surface, perhaps taking advantage of interface engineering.

Summary

The effect of contact resistance on the performance of devices is becoming more pronounced as devices are scaled to the nanoscale. This reality will require increased ingenuity on the part of materials scientists to control interfacial reactions and to engineer the interface. Judging from the rate at which new materials and processes have entered the microelectronic and nanoelectronic industries, the prognosis for finding solutions to mitigate these issues is quite good.

References

1.Rhoderick, E.H., Williams, R.H., Metal Semiconductor Contacts (Oxford University Press, Cary, NC, 1988).Google Scholar
2.Allen, R.E., Phys. Rev. B 25, 1423 (1982).CrossRefGoogle Scholar
3.Tersoff, J., Phys. Rev. Lett. 52, 465 (1984).CrossRefGoogle Scholar
4.Kim, S.-D., Park, C.-M., Woo, J.C.S., IEEE Trans. Electron Devices 49 (3), 467(2002).CrossRefGoogle Scholar
5.Kim, S.D., Narasimha, S., Rim, K., IEEE IEDM Tech. Digest 149 (2005).Google Scholar
6.Kittl, J.A., Opsomer, K., Torregiani, C., Demeurisse, C., Mertens, S., Brunco, D.P., Van Dal, M.J.H., Lauwers, A., Mater. Sci. Eng. B 154 (2008).Google Scholar
7.Ghani, T., Armstrong, M., Auth, C., Bost, M., Charvat, P., Glass, G., Hoffmann, T., Johnson, K., Kenyon, C., Klaus, J., McIntyre, B., Mistry, K., Murthy, A., Sandford, J., Silberstein, M., Sivakumar, S., Smith, P., Zawadzki, K., Thompson, S., Bohr, M., IEEE IEDM Tech. Digest 978 (2003).Google Scholar
8.Kedzierski, J., Xuan, P., Andew, E.H., Bokor, J., King, T.-J., Hu, C., IEEE IEDM Tech. Digest 57 (2000).Google Scholar
9.Ikeda, K., Yamashita, Y., Sugiyama, N., Taoka, N., Takagi, S., Appl. Phys. Lett. 88 (15), 152115 (2006).CrossRefGoogle Scholar
10.Ito, S., Namba, H., Yamaguchi, K., Hirata, T., Ando, K., Koyama, S., Kuroki, S., Ikezawa, N., Suzuki, T., Saitoh, T., Horiuchi, T., IEEE IEDM Tech. Digest 247 (2000).Google Scholar
11.Shimizu, A., Hachimin, K., Ohki, N., Ohta, H., Koguchi, M., Nonaka, Y., Sato, H., Ootsuka, F., IEEE IEDM Tech. Digest 433 (2001).Google Scholar
12.Chau, R., Datta, S., Doczy, M., Doyle, B., Kavalieros, J., Metz, M., IEEE Electron Device Lett. 25 (6), 408 (2004).CrossRefGoogle Scholar
13.Wilk, G., Wallace, R.M., Anthony, J.M., J. Appl. Phys. 87, 484 (2000).CrossRefGoogle Scholar
14.Hubbard, K.J., Schlom, D.G., J. Mater. Res. 11, 2757 (1996).CrossRefGoogle Scholar
15.Houssa, M., Ed., High-k Dielectrics (IOP Publishing, London, 2004).CrossRefGoogle Scholar
16.Huff, H.R., Gilmer, D.C., Eds., High-k Dielectric Constant Materials (Springer-Verlag, New York, 2005).CrossRefGoogle Scholar
17.Gusev, E.P., Buchanan, D.A., Cartier, E., Kumar, A., DiMaria, D., Guha, S., Calegari, A., Zafar, S., Jamison, P.C., Nuemayer, D.A., Copel, M., Okorn-Schmidt, M.A., D’Emic, H.C., Kozlowski, P., Chan, K., Bojarczuk, N., Ragnarsson, L.-A., Ronsheim, P., Rim, K., Fleming, R.J., Mocuta, A., Ajmera, A., IEDM Tech. Digest 451 (2001).Google Scholar
18.Alshareef, H.N., Zhang, Z., Majhi, P., Brown, G., Zeitzof, P., Huff, H., Lee, B.H., Future Fab Int. 19, 91 (2005).Google Scholar
19.Majhi, P., Wen, H.C., Alshareef, H.N., Harris, H.R., Luan, H., Choi, K., Park, C.S., Song, S.C., Lee, B.H., Jammy, R., MICRO Mag. (May 2006).Google Scholar
20.Kittl, J.A., Veloso, A., Lauwers, A., Anil, K.G., Demeurisse, C., Kubicek, S., Niwa, M., van Dal, M.J.H., Richard, O., Pawlak, M.A., Jurczak, M., Vrancken, C., Chiarella, T., Brus, S., Maex, K., Biesemans, S., 2005 VLSI Technology Symposium (2005), p. 72.CrossRefGoogle Scholar
21.Schaeffer, J.K., Samavedam, S.B., Gilmer, D.C., Dhandapani, V., Tobin, P.J., Mogab, J., Nguyen, B.-Y., White, B.E. Jr., Dakshina-Murthy, S., Rai, R.S., J. Vac. Sci. Technol., B 21 (1), 11 (2003).CrossRefGoogle Scholar
22.Hobbs, C., Fonseca, L., Knizhnik, A., Dhandapani, V., Samavedam, S., Taylor, W., Grant, J., Dip, L., Triyoso, D., Hegde, R., Gilmer, D., Garcia, R., Roan, D., Lovejoy, L., Rai, R., Hebert, E., Tseng, H., Anderson, S., White, B., Tobin, P., IEEE Trans. Electron Devices 51, 971(2004).CrossRefGoogle Scholar
23.Samavedam, S.B., La, L.B., Tobin, P.J., White, B., Hobbs, C., Fonseca, L.R.C., Demkov, A.A., Schaeffer, J., Luckowski, E., Martinez, A., Raymond, M., Triyoso, W., Roan, D., Dhandapani, V., Garcia, R., Anderson, S.G.H., Moore, K., Tseng, H.H., Capasso, C., Adetutu, O., Gilmer, D.C., Taylor, W.J., Hegde, R., Grant, J., IEDM Tech. Digest (2003).Google Scholar
24.Yeo, Y.C., King, T.J., Hu, C., J. Appl. Phys. 92, 7266 (2002).CrossRefGoogle Scholar
25.Alshareef, H.N., Quevedo-Lopez, M., Wen, H.C., Harris, R., Kirsch, P., Majhi, P., Lee, B.H., Jammy, R., Appl. Phys. Lett. 89, 232103 (2006).CrossRefGoogle Scholar
26.Alshareef, H.N., Harris, H.R., Wen, H.C., Park, C.S., Huffman, C., Choi, K., Luan, H.F., Majhi, P., Lee, B.H., Jammy, R., Lichtenwalner, D.J., Jur, J.S., Kingon, A.I., VLSI Technology Symposium 10 (2006).Google Scholar
27.Copel, M., Guha, S., Bojarczuk, N., Cartier, E., Narayanan, V., Paruchuri, V., Appl. Phys. Lett. 95 (21), 212903 (2009).CrossRefGoogle Scholar
28.Alshareef, H.N., Luan, H.F., Choi, K., Harris, H.R., Wen, H.C., Quevedo-Lopez, M.A., Majhi, P., Lee, B.H., Appl. Phys. Lett. 88, 112114 (2006).CrossRefGoogle Scholar
29.Guha, S., Narayanan, V., Phys. Rev. Lett. 98, 196101 (2007).CrossRefGoogle Scholar
30.Shiraishi, K., Yamada, K., Torii, K., Akasaka, Y., Nakajima, K., Konno, M., Chikyo, T., Kitajima, H., Arikado, T., Symposium on VLSI Technology (Honolulu, HI, 2004), p. 108.Google Scholar
31.Lu, W., Lieber, C.M., J. Phys. D: Appl. Phys. 39, R387 (2006).CrossRefGoogle Scholar
32.Schmidt, V., Wittemann, J.V., Senz, S., Gosele, U., Adv. Mater. 21, 2681 (2009).CrossRefGoogle Scholar
33.Anantram, M.P., Léonard, F., Rep. Prog. Phys. 69, 507 (2006).CrossRefGoogle Scholar
34.Hanrath, T., Korgel, B.A., Proc. Inst. Mech. Eng. N, J. Nanoeng. Nanosystems 218, 25 (2004).Google Scholar
35.Piscator, J., Engström, O., Physica, Physica E 40 (7), 2508 (2008).CrossRefGoogle Scholar
36.Nolan, Mi., O’Callaghan, S., Fagas, G., Greer, J.C., Nano Lett. 7 (1), 34 (2007).CrossRefGoogle Scholar
37.Yao, D., Zhang, G., Li, B., Nano Lett. 8 (12), 4557 (2008).CrossRefGoogle Scholar
38.Hasegawa, H., Sato, T., Kasai, S., Appl. Surf. Sci. 166, 96 (2000).CrossRefGoogle Scholar
39.Leonard, F., Talin, A.A., Phys. Rev. Lett. 97 (2), 026804 (2006).CrossRefGoogle Scholar
40.Woodruff, S.M., Dellas, N.S., Liu, B.Z., Eichfeld, S.M., Mayer, T.S., Redwing, J.M., Mohney, S.E., J. Vac. Sci. Technol., B 26, 1592 (2008).CrossRefGoogle Scholar
41.Svensson, J., Sourab, A.A., Tarakanov, Y., Su. Lee, D., Park, S.J., Baek, S.J., Park, Y.W., Campbell, E.B., Nanotechnology. 20, 175204 (2009).CrossRefGoogle Scholar
42.Landman, U., Barnett, R.N., Scherbakov, A.G., Avouris, P., Phys. Rev. Lett. 85, (9), 1958 (2000).CrossRefGoogle Scholar
43.Chau, R., Brask, J., Datta, S., Dewey, G., Doczy, M., Doyle, B., Kavalieros, J., Jin, B., Metz, M., Majumdar, A., Radosavljevic, M., Microelectron. Eng. 80, 1 (2005).CrossRefGoogle Scholar
44.Ghani, T., Armstrong, M., Auth, C., Bost, M., Charvat, P., Glass, G., Hoffmann, T., Johnson, K., Kenyon, C., Klaus, J., McIntyre, B., Mistry, K., Murthy, A., Sandford, J., Silberstein, M., Sivakumar, S., Smith, P., Zawadzki, K., Thompson, S., Bohr, M.., IEDM Tech. Digest (2001).Google Scholar
45.Yu, H.Y., Ishibashi, M., Park, J.-H., Kobayashi, M., Saraswat, K.C., IEEE Electron Dev Lett. 30(6), 675 (2009).Google Scholar
46.Hu, J., Saraswat, K.C., Philip Wong, H.-S., J. Appl. Phys. 107, 063712 (2010).CrossRefGoogle Scholar
47.Kedzierski, J., Fried, D., Nowak, E.J., Kanarsky, T., Rankin, J.H., Hanafi, H., Natzle, W., Boyd, D., IEDM Tech. Digest 437 (2001).Google Scholar
48.Kavalieros, J., Doyle, B.S., Datta, S., Dewey, G., Chau, R., Symposium on VLSI Technology (Honolulu, HI, 2006).Google Scholar
49.Li, M., Yeo, K.H., Suk, S.D., Yeoh, Y.Y., Kim, D.W., Chung, T.Y., Oh, K.S., Lee, W.S., Symposium on VLSI Technology (Honolulu, HI, 2009), p. 94.Google Scholar
50.Lilienfeld, J.E., U.S. Patent 1,900,018 (1933).Google Scholar
51.Quevedo-Lopez, M.A., Gowrisanker, S., Alshareef, H.N., Gnade, B., Venugopal, S., Krishna, R., Kaftanoglu, K., Allee, D.M., ECS Trans. 25 (7), 503 (2009).CrossRefGoogle Scholar
52.Gowrisanker, S., Ai, Y., Jia, H., Quevedo-Lopez, M.A., Alshareef, H.N., Gnade, B.E., Org. Electron. 10, 1217 (2009).CrossRefGoogle Scholar
53.Sun, Y., Rogers, J.A., Adv. Mater. 19, 1897 (2007).CrossRefGoogle Scholar
Figure 0

Figure 1. Research directions in nanoscale complementary metal oxide semiconductor devices. These directions include junction silicide engineering, gate stack engineering, and incorporation of alternate channel materials. S/D is source/drain, ϕB is the barrier height, ϕm is the metal work function, and Nd is the doping density.

Figure 1

Figure 2. Effective work function of transistor gate contacts. The x-axis shows a large number of materials and compositions that have been tested as transistor metal gates. They include metals, conductive nitrides, silicides, oxides, and a combination of such materials.18,19

Figure 2

Figure 3. Potential issues in contact development for nanowire devices.

Figure 3

Figure 4. Complementary metal oxide semiconductor (CMOS) fabricated in thin-film transistor (TFT) technologies. Top left: Cross-section of the CMOS structure comprising both organic and inorganic thin-film transistors. Top right and bottom left and right: test structures.51,52